Труды института системного программирования РАН
RUS  ENG    ЖУРНАЛЫ   ПЕРСОНАЛИИ   ОРГАНИЗАЦИИ   КОНФЕРЕНЦИИ   СЕМИНАРЫ   ВИДЕОТЕКА   ПАКЕТ AMSBIB  
Общая информация
Последний выпуск
Архив

Поиск публикаций
Поиск ссылок

RSS
Последний выпуск
Текущие выпуски
Архивные выпуски
Что такое RSS



Труды ИСП РАН:
Год:
Том:
Выпуск:
Страница:
Найти






Персональный вход:
Логин:
Пароль:
Запомнить пароль
Войти
Забыли пароль?
Регистрация


Труды института системного программирования РАН, 2021, том 33, выпуск 6, страницы 111–130
DOI: https://doi.org/10.15514/ISPRAS-2021-33(6)-8
(Mi tisp649)
 

Эта публикация цитируется в 1 научной статье (всего в 1 статье)

Сравнение открытых маршрутов проектирования цифровой аппаратуры: qFlow, OpenLANE, Coriolis, SymbiFlow

А. С. Камкинabcde, С. А. Смоловad, М. М. Чупилкоad

a Институт системного программирования им. В.П. Иванникова РАН
b Московский государственный университет имени М. В. Ломоносова
c Национальный исследовательский университет "Высшая школа экономики"
d Российский экономический университет им. Г. В. Плеханова
e Московский физико-технический институт
Аннотация: В данной работе сделан обзор открытых инструментов логического синтеза, трассировки и размещения элементов моделей цифровой аппаратуры, анализа временных характеристик и синтеза топологических схем. Среди инструментов были выбраны системы проектирования qFlow, OpenLANE, Coriolis и SymbiFlow, поддерживающие полные маршруты: от RTL-модели до двоичных образов для ПЛИС или исходных данных для полупроводниковых фабрик. Для экспериментальной оценки инструментов была взята модель микропроцессора с архитектурой RISC-V под названием PicoRV32. Результаты испытаний показали, что открытые инструменты пригодны для создания топологических схем реалистичных примеров. Однако коммерческие инструменты позволяют создавать более эффективные с точки зрения производительности топологические модели.
Ключевые слова: цифровая аппаратура, микропроцессор, проектирование, открытое программное обеспечение, ПЛИС, СБИС, RISC-V, qFlow, OpenLANE, Coriolis, SymbiFlow.
Тип публикации: Статья
Образец цитирования: А. С. Камкин, С. А. Смолов, М. М. Чупилко, “Сравнение открытых маршрутов проектирования цифровой аппаратуры: qFlow, OpenLANE, Coriolis, SymbiFlow”, Труды ИСП РАН, 33:6 (2021), 111–130
Цитирование в формате AMSBIB
\RBibitem{KamSmoChu21}
\by А.~С.~Камкин, С.~А.~Смолов, М.~М.~Чупилко
\paper Сравнение открытых маршрутов проектирования цифровой аппаратуры: qFlow, OpenLANE, Coriolis, SymbiFlow
\jour Труды ИСП РАН
\yr 2021
\vol 33
\issue 6
\pages 111--130
\mathnet{http://mi.mathnet.ru/tisp649}
\crossref{https://doi.org/10.15514/ISPRAS-2021-33(6)-8}
Образцы ссылок на эту страницу:
  • https://www.mathnet.ru/rus/tisp649
  • https://www.mathnet.ru/rus/tisp/v33/i6/p111
  • Эта публикация цитируется в следующих 1 статьяx:
    Citing articles in Google Scholar: Russian citations, English citations
    Related articles in Google Scholar: Russian articles, English articles
    Труды института системного программирования РАН
    Статистика просмотров:
    Страница аннотации:24
    PDF полного текста:19
     
      Обратная связь:
     Пользовательское соглашение  Регистрация посетителей портала  Логотипы © Математический институт им. В. А. Стеклова РАН, 2024