Proceedings of the Institute for System Programming of the RAS
RUS  ENG    JOURNALS   PEOPLE   ORGANISATIONS   CONFERENCES   SEMINARS   VIDEO LIBRARY   PACKAGE AMSBIB  
General information
Latest issue
Archive

Search papers
Search references

RSS
Latest issue
Current issues
Archive issues
What is RSS



Proceedings of ISP RAS:
Year:
Volume:
Issue:
Page:
Find






Personal entry:
Login:
Password:
Save password
Enter
Forgotten password?
Register


Proceedings of the Institute for System Programming of the RAS, 2021, Volume 33, Issue 6, Pages 111–130
DOI: https://doi.org/10.15514/ISPRAS-2021-33(6)-8
(Mi tisp649)
 

This article is cited in 1 scientific paper (total in 1 paper)

Comparison of open flows for digital hardware development: qflow, openlane, coriolis, and symbiflow

A. S. Kamkinabcde, S. A. Smolovad, M. M. Chupilkoad

a Ivannikov Institute for System Programming of the RAS
b Lomonosov Moscow State University
c National Research University Higher School of Economics
d Plekhanov Russian State University of Economics
e Moscow Institute of Physics and Technology
Abstract: This paper reviews open-source tools for the logical synthesis, place-and-route, static timing analysis and topology generation hardware design stages. The following tools have been described: qFlow, OpenLANE, Coriolis, and SymbiFlow. These tools are aimed to synthesize RTL models into FPGA bitstreams or GDS II physical layouts. A PicoRV32 implementation of RISC-V microprocessor has been used for experimental evaluation of these flows. The results show that open-source flows are capable to produce physical layouts for realistic examples. At the same time, commercial CADs allow generating more effective designs in terms of clock frequency.
Keywords: digital hardware, microprocessor, computer-aided design, open source, FPGA, ASIC, RISC-V, qFlow, OpenLANE, Coriolis, SymbiFlow.
Document Type: Article
Language: Russian
Citation: A. S. Kamkin, S. A. Smolov, M. M. Chupilko, “Comparison of open flows for digital hardware development: qflow, openlane, coriolis, and symbiflow”, Proceedings of ISP RAS, 33:6 (2021), 111–130
Citation in format AMSBIB
\Bibitem{KamSmoChu21}
\by A.~S.~Kamkin, S.~A.~Smolov, M.~M.~Chupilko
\paper Comparison of open flows for digital hardware development: qflow, openlane, coriolis, and symbiflow
\jour Proceedings of ISP RAS
\yr 2021
\vol 33
\issue 6
\pages 111--130
\mathnet{http://mi.mathnet.ru/tisp649}
\crossref{https://doi.org/10.15514/ISPRAS-2021-33(6)-8}
Linking options:
  • https://www.mathnet.ru/eng/tisp649
  • https://www.mathnet.ru/eng/tisp/v33/i6/p111
  • This publication is cited in the following 1 articles:
    Citing articles in Google Scholar: Russian citations, English citations
    Related articles in Google Scholar: Russian articles, English articles
    Proceedings of the Institute for System Programming of the RAS
    Statistics & downloads:
    Abstract page:25
    Full-text PDF :19
     
      Contact us:
     Terms of Use  Registration to the website  Logotypes © Steklov Mathematical Institute RAS, 2024